Intel hỗ trợ các nhà phát triển phổ cập AI

Intel xác nhận kế hoạch "4 năm, 5 tiến trình" đang theo đúng lộ trình và sẽ giúp nhiều nhà phát triển mở rộng hệ thống AI của họ thông qua phần cứng lẫn phần mềm.

Ngày 19/9, tại sự kiện Intel Innovation lần 3, Intel đã giới thiệu loạt công nghệ để phổ cập trí tuệ nhân tạo (AI). Hãng cũng giúp việc truy cập các ứng dụng này trở nên dễ dàng hơn, từ máy tính cá nhân (client) và edge (vùng biên đám mây) đến mạng và điện toán đám mây (cloud).

"AI đại diện cho sự thay đổi mang tính thời đại, mở ra một kỷ nguyên mở rộng toàn cầu mới khi sức mạnh điện toán đang ngày càng trở thành nền tảng vững chắc đưa tất cả chúng ta đến với một tương lai tươi sáng hơn.

Với các nhà phát triển, AI mang đến những tiềm năng nhằm phát triển kinh doanh và thay đổi xã hội để thúc đẩy giới hạn của sự sáng tạo nhằm tạo ra giải pháp cho những thách thức lớn hiện nay cũng như cải thiện cuộc sống của mọi người trên hành tinh này", CEO của Intel, ông Pat Gelsinger chia sẻ.

Trong phần trình bày mở đầu sự kiện dành cho các nhà phát triển, ông Gelsinger đã cho thấy cách Intel mang những khả năng AI lên khắp sản phẩm phần cứng và giúp việc truy xuất AI trở nên dễ dàng hơn thông qua các phần mềm mở và đa kiến trúc.

Ông cũng nhấn mạnh cách AI đang thúc đẩy nền kinh tế đang phát triển nhờ sức mạnh của chất bán dẫn và phần mềm Siliconomy. Hiện nay, ngành công nghiệp sản xuất chip có giá trị 574 tỷ USD và đóng góp đáng kể vào nền kinh tế công nghệ toàn cầu trị giá gần 8.000 tỷ USD.

Cải tiến mới về chất bán dẫn, đóng gói và giải pháp multi-chiplet

Mọi thứ khởi nguồn từ sự đổi mới trong việc sản xuất chip. Ông Gelsinger cho biết: chương trình phát triển "4 năm, 5 tiến trình" của Intel đang theo đúng tiến độ. Intel 7 đang được sản xuất với số lượng lớn, trong khi Intel 4 đã sẵn sàng cho quá trình sản xuất, còn Intel 3 vẫn đi theo lộ trình để sẵn sàng vào cuối năm nay.

Gelsinger cũng giới thiệu tấm wafer Intel 20A với các vi mạch thử nghiệm đầu tiên cho Arrow Lake, vi xử lý sẽ ra mắt cho thị trường máy tính cá nhân vào năm 2024.

Intel 20A sẽ là tiến trình đầu tiên tích hợp PowerVia, công nghệ phân phối điện năng ở mặt sau của Intel và thiết kế bóng bán dẫn gate-all-around mới với tên gọi RibbonFET. Intel 18A, cũng sẽ sử dụng cả PowerVia và RibbonFET, đang phát triển đúng lộ trình để sẵn sàng đi vào sản xuất vào nửa cuối năm 2024.

Intel cũng đưa ra phương thức tiếp cận khác để thúc đẩy sự phát triển của Định luật Moore và câu trả lời nằm ở vật liệu mới, như đế chip bằng kính. Đây là đột phá vừa được hãng công bố.

Khi ra mắt vào cuối thập kỷ này, đế chip bằng kính sẽ giúp tăng số lượng bóng bán dẫn để phục vụ nhu cầu chạy các ứng dụng nặng về dữ liệu và hiệu năng cao như AI. Đây cũng là động lực để Định luật Moore tiếp tục duy trì và phát triển vững vàng sau năm 2030.

Intel cũng trưng bày con chip mẫu hoàn chỉnh sử dụng kết nối UCIe. Ông Gelsinger cho biết thêm làn sóng tiếp theo của Định luật Moore sẽ đến với các gói multi-chiplet sớm hơn nếu các tiêu chuẩn mở có thể giảm trở ngại khi tích hợp IP (Intellectual Property).

Được hình thành từ năm ngoái, tiêu chuẩn UCIe cho phép các chiplet từ những nhà cung cấp khác nhau có thể hoạt động cùng nhau, qua đó tạo ra những thiết kế mới phục vụ cho sự mở rộng của các ứng dụng AI. Tiêu chuẩn mở này được hỗ trợ bởi hơn 120 công ty.

Chip thử nghiệm đã kết hợp một chiplet IP UCIe của Intel được sản xuất trên tiến trình Intel 3,và một chiplet IP UCIe của Synopsys được sản xuất trên tiến trình TSMC N3E.

Các chiplet được liên kết với nhau qua công nghệ đóng gói tân tiến cầu liên kết multi-die tích hợp (EMIB). Phần trình diễn này thể hiện rõ cam kết của TSMC, Synopsys, và Intel Foundry Services trong việc hỗ trợ tiêu chuẩn mở dựa trên hệ sinh thái chiplet với liên kết UCIe.

Tăng cường hiệu năng và nhân rộng AI

Ông Gelsinger nhấn mạnh rằng hãng cung cấp hàng loạt công nghệ AI để các nhà phát triển có thể sử dụng trên các nền tảng của Intel kể từ hôm nay. Ngoài ra, ông cũng cho biết số lượng các công nghệ này sẽ tiếp tục tăng đáng kể trong năm tới.

Các kết quả gần đây về hiệu năng suy luận AI của MLPerf càng khẳng định cam kết của Intel nhằm giải quyết mọi giai đoạn của chuỗi liên tục (continumm) AI, bao gồm các AI tạo sinh (generative) và mô hình ngôn ngữ lớn với những đòi hỏi rất cao về tính toán.

Các kết quả cũng cho thấy bộ gia tốc Intel Gaudi 2 là sự thay thế khả thi duy nhất trên thị trường cho các nhu cầu về AI. Ông Gelsinger cũng công bố siêu máy tính AI lớn sẽ được xây dựng hoàn toàn bằng các vi xử lý Intel Xeon và 4.000 bộ gia tốc phần cứng Intel Gaudi2. Stability AI là khách hàng trọng điểm.

Giám đốc Công nghệ của Alibaba Cloud, ông Zhou Jingren, diễn giải cách thức Alibaba ứng dụng các vi xử lý Intel Xeon thế hệ 4 với bộ gia tốc AI được tích hợp sẵn vào "mô hình AI tạo sinh và ngôn ngữ lớn, các mô hìnhTongyi Foundation của Alibaba Cloud'.

Ông cho biết công nghệ của Intel giúp cải thiện đáng kể thời gian phản hồi, tốc độ tăng nhanh hơn trung bình 3 lần.

Intel cũng giới thiệu sơ lược về thế thệ tiếp theo của các vi xử lý Intel Xeon. Theo đó, các vi xử lý Intel Xeon thế hệ 5 sẽ mang đến hiệu năng cao và bộ nhớ nhanh hơn ở cùng mức điện năng cho các trung tâm dữ liệu trên toàn cầu khi chính thức ra mắt vào ngày 14/12.

Sierra Forest, với các nhân E-core (nhân tiết kiệm điện năng) và ra mắt trong nửa đầu năm 2024, sẽ cung cấp điện năng tiêu thụ (rack density) tốt hơn 2,5 lần, hiệu năng cao hơn 2,4 lần trên mỗi watt so với thế hệ 4 và sẽ có phiên bản với 288 nhân.

Trong khi đó với nhân P-core (hiệu năng cao), Granite Rapids sẽ ra mắt sau Sierra Forest và cung cấp hiệu năng AI nhanh hơn 2 đến 3 lần so với thế hệ 4. Đến năm 2024, vi xử lý Xeon E-core thế hệ tiếp theo, tên mã Clearwater Forest, sẽ xuất hiện trên tiến trình Intel 18A.

Ra mắt PC sở hữu AI, phát triển Siliconomy

AI cũng sẽ ngày càng mang tính cá nhân cao hơn. "AI sẽ chuyển đổi, định hình và tái cấu trúc trải nghiệm sử dụng PC. Tức thông qua sức mạnh của điện toán đám mây và PC, AI sẽ giúp từng cá nhân tăng cường năng suất và sức sáng tạo. Chúng ta đang tiến đến kỷ nguyên mới của PC AI", ông Gelsinger bày tỏ.

Trải nghiệm PC mới sẽ xuất hiện trên các vi xử lý Intel Core Ultra sắp ra mắt với tên mã Meteor Lake. Đây là vi xử lý dành cho người dùng cuối đầu tiên của Intel được tích hợp bộ xử lý thần kinh (NPU) chuyên dụng để tăng tốc AI và suy luận cục bộ trên PC hiệu quả hơn. Ông Gelsinger xác nhận Core Ultra cũng sẽ được ra mắt vào ngày 14/12.

Core Ultra là một bước ngoặt quan trọng trong lộ trình phát triển vi xử lý dành cho máy tính cá nhân của Intel: Đây là thiết kế chiplet dành cho máy tính cá nhân đầu tiên sử dụng công nghệ đóng gói Foveros.

Ngoài việc trang bị NPU và những cải tiến lớn về hiệu năng, tiết kiệm điện nhờ sản xuất trên tiến trình Intel 4, vi xử lý mới cũng được nâng tầm hiệu suất về đồ họa tương đương card đồ họa rời thông qua các card đồ họa Intel Arc tích hợp.

Ông Gelsinger cũng trình diễn một loạt trường hợp sử dụng mới trên PC AI, trong khi ông Jerry Kao, Giám đốc Điều hành của Acer, hé lộ đôi chút về mẫu máy tính xách tay trang bị Core Ultra sắp ra mắt của Acer.

"Chúng tôi đã và đang hợp tác cùng đội ngũ của Intel để phát triển một bộ ứng dụng AI của Acer để tận dụng hết sức mạnh của Intel Core Ultra. Với bộ công cụ OpenVINO và các thư mục AI được đồng phát triển, chúng tôi có thể mang chiếc máy của mình phục vụ cuộc sống tốt hơn", ông Kao nói.

"AI trong tương lai phải mang đến nhiều hơn về khả năng truy cập, khả năng mở rộng, khả năng hiển thị, sự minh bạch và sự tin tưởng đến toàn bộ hệ sinh thái", ông Gelsinger phát biểu.

Nhằm hỗ trợ các nhà phát triển khai phá tương lai, Intel đã công bố tính khả dụng của Intel Developer Cloud, phiên bản 2023.1 của Intel Distribution cho bộ công cụ OpenVINO và dự án Strata cho vùng biên.